Language:
    • Available Formats
    • Options
    • Availability
    • Priced From ( in USD )

Customers Who Bought This Also Bought

 

About This Item

 

Full Description

Scope

Development of a set of standard math packages for VHDL. Examples are trigometric, hyperbolic, random number generators, etc.

Purpose

Many modeling activities need computation of standard mathematical functions. IEEE Standard 1076 does not have defined as a part of the language any standard set of mathematical routines. This will correct this problem by defining a Standard mathematical set of Packages to be used with the language.

Abstract

New IEEE Standard - Superseded. The MATH_REAL package declaration, the MATH_COMPLEX package declaration, and the semantics of the standard mathematical definition and conventional meaning of the functions that are part of this standard are provided. Ways for users to implement this standard are given in an informative annex. Samples of the MATH_REAL and MATH_COMPLEX package bodies are provided in an informative annex on diskette as guidelines for implementors to verify their implementation of this standard. Implementors may choose to implement the package bodies in the most efficient manner available to them. The standard package bodies (subclauses B.1 and B.2) are available for free download at: http://standards.ieee.org/downloads/1076/1076.2-1996/