Language:
    • Available Formats
    • Options
    • Availability
    • Priced From ( in USD )

Customers Who Bought This Also Bought

 

About This Item

 

Full Description

Scope

The intent of this standard is to serve as a complete specification of the Verilog Hardware Description Language (HDL). This document contains: -- The formal syntax and semantics of all Verilog HDL construct; s -- Simulation system tasks and functions, such as text output display commands; -- Compiler directives, such as text substitution macros and simulation time scaling; -- The Programming Language Interface (PLI) binding mechanism; -- The formal syntax and semantics of access routines, task/function routines, and Verilog procedural interface routines; -- Informative usage examples; -- Listings of header Þles for PLI

Abstract

New IEEE Standard - Superseded. The Verilog Hardware Description Language (HDL) is defined. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable, it supports the development, verification, synthesis, and testing of hardware designs; the communication of hardware design data; and the maintenance, modification, and procurement of hardware. The primary audiences for this standard are the implementors of tools supporting the language and advanced users of the language.
 

Document History

  1. IEEE 1364-2001


    IEEE Standard Verilog Hardware Description Language

    • Most Recent
  2. IEEE 1364-1995

    👀 currently
    viewing


    IEEE Standard Hardware Description Language Based on the Verilog(R) Hardware Description Language

    • Historical Version