Language:
    • Available Formats
    • Options
    • Availability
    • Priced From ( in USD )

Customers Who Bought This Also Bought

 

About This Item

 

Full Description

Scope

Develop a standard computer language and environment for use in the design and description of electronic systems from the abstract to logical gate modeling levels.

Purpose

To provide a syntax, vocabulary and semantics for describing electronic systems. The data is required to support the design, production and maintenance of electronic systems throughout their life cycle.

Abstract

Revision Standard - Superseded. Replaced by 61691-1-1 Dual-logo document. Revision of the IEEE Std 1076, 2000 Edition Abstract: VHSIC Hardware Description Language (VHDL) is defined. VHDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable, it supports the development, verification, synthesis, and testing of hardware designs; the communication of hardware design data; and the maintenance, modification, and procurement of hardware. Its primary audiences are the implementors of tools supporting the language and the advanced users of the language.
 

Document History

  1. IEEE 1076-2002

    👀 currently
    viewing


    IEEE Standard VHDL Language Reference Manual

    • Most Recent
  2. IEEE 1076-2000


    IEEE Standard VHDL Language Reference Manual

    • Historical Version
  3. IEEE 1076-1993


    IEEE Standard VHDL Language Reference Manual

    • Historical Version
  4. IEEE 1076-1987


    IEEE Standard VHDL Language Reference Manual

    • Historical Version
 

Amendments, rulings, supplements, and errata

  1. IEEE 1076c-2007


    IEEE Standard VHDL Language Reference Manual - Procedural Language Application Interface